找回密码
 立即注册
邮电通讯系统 2022-06-27 80 0star收藏 版权: . 保留作者信息 . 禁止商业使用 . 禁止修改作品
iLO(IntegratedLights-Out)是HP独有的服务器远程管理技术.IntegratedLights-Out是一个可通过任何服务器状态来管理主机服务器的自主管理分系统。

(ILO (integratedlights out) is a unique server remote management technology of HP Integratedlights out is an autonomous management subsystem that can manage host servers through any server state.)

[下载]18050374592.rar




上一篇:截图软件,实用
下一篇:nginx-0.6.39.tar.gz